Verilog Simulation
安裝 Icarus: sudo apt-get install iverilog
安裝 GTKWave: sudo apt-get install gtkwave
使用方式:
1. 編譯: iverilog -o out test.v
iverilog -o out -s top_module -c file_list.txt
iverilog -o out test.v -Dmacro=value
2. 模擬與產生波形檔: vvp -n out -lxt2
3. 開啟波形檔: gtkwave wave.vcd &
testbench 中需加入以下指令以產生波形檔
initial begin
$dumpfile("wave.vcd");
$dumpvars;
end
沒有留言:
張貼留言